High Efficiency Feedback Shift Register: σ−LFSR?

نویسندگان

  • Guang Zeng
  • Wenbao Han
  • Kaicheng He
چکیده

We introduce a new kind of word-oriented linear feedback shift register called σ−LFSR which is constructed with the instructions of the modern processor and have fast software implementation. We offer an algorithm to search for good primitive σ−LFSR. In particular, we give two examples HHZ-1 and HHZ-2 and compare their efficiency and security with those of the LFSRs appearing in stream ciphers such as SNOW, SOBER and Turing. Our results show that replacing the LFSRs in SNOW, SOBER and Turing with HHZ-1 will improve security and the efficiency of fast software implementation.

برای دانلود متن کامل این مقاله و بیش از 32 میلیون مقاله دیگر ابتدا ثبت نام کنید

ثبت نام

اگر عضو سایت هستید لطفا وارد حساب کاربری خود شوید

منابع مشابه

Bit Swapping Linear Feedback Shift Register For Low Power Application Using 130nm Complementary Metal Oxide Semiconductor Technology (TECHNICAL NOTE)

Bit swapping linear feedback shift register (BS-LFSR) is employed in a conventional linear feedback shirt register (LFSR) to reduce its power dissipation and enhance its performance. In this paper, an enhanced BS-LFSR for low power application is proposed. To achieve low power dissipation, the proposed BS-LFSR introduced the stacking technique to reduce leakage current. In addition, three diffe...

متن کامل

Design of 8 Bit, 16 Bit and 32 Bit Lfsr for Pn Sequence Generation Using Vhdl

LFSR (Linear Feedback Shift Register) is commonly employed in various cryptography applications to generate pseudo-random numbers. The overall number of random state produced by the LFSR is determined by the feedback polynomial. LFSR is a shift register in which some of their outputs are taken in exclusive-OR format that forms the feedback path. So it capable to generate maximum of 2-1 random s...

متن کامل

A Modernistic Approach to Design Fault Tolerant Circuit Using LP-LFSR with Low Power Dissipation

The aim of testing of VLSI circuits is high-quality screening of the circuits by targeting performance related faults. A low hardware overhead test pattern generator (TPG) for scan based BIST that can detect the any faults in the circuit under test and analyze their response .It is a new fault coverage test pattern generator using a liner feedback shift register (LFSR) called FC-LFSR can perfor...

متن کامل

Test Pattern Generation and Signature Analysis for Burst Errors

In testing certain systems, checking for burst errors is important. This is due to the fact that errors are confined to a certain number of bits. If signature analysis is used to test a circuit then the testing capabilities depend on the polynomial that defines the linear feedback shift register (LFSR) used in the test. In this paper we show that the LFSR that is suitable for checking for burst...

متن کامل

Hardware Stream Cipher Based on LFSR and Modular Division Circuit

Feedback Shift Registers (LFSR) is presented here. In this method, shift register structure used for polynomial modular division is combined with LFSR keystream generator to yield a new keystream generator with much higher periodicity. Security is brought into this structure by using the Boolean function to combine state bits of the LFSR keystream generator and taking the output through the Boo...

متن کامل

ذخیره در منابع من


  با ذخیره ی این منبع در منابع من، دسترسی به آن را برای استفاده های بعدی آسان تر کنید

برای دانلود متن کامل این مقاله و بیش از 32 میلیون مقاله دیگر ابتدا ثبت نام کنید

ثبت نام

اگر عضو سایت هستید لطفا وارد حساب کاربری خود شوید

عنوان ژورنال:

دوره   شماره 

صفحات  -

تاریخ انتشار 2007